Academics

Lecture by Prof. Xin Li (CMU) Nov. 28

Published:2013-11-19 

FBayesian Model Fusion: A Statistical Framework for Efficient Pre-Silicon Validation and Post-Silicon Tuning of Complex Analog and Mixed-Signal Circuits

Speaker: Prof. Xin Li (CMU)

Time and Date: 9:30-11:00, Nov. 28, 2013

Place: Room 369, Microelectronics Building, Zhangjiang Campus

 

 

Abstract

In this talk, I will describe a novel statistical framework, referred to as Bayesian Model Fusion (BMF), that allows us to minimize the simulation and/or measurement cost for both pre-silicon validation and post-silicon tuning of analog and mixed-signal (AMS) circuits with consideration of large-scale process variations. The BMF technique is motivated by the fact that today’s AMS design cycle typically spans multiple stages (e.g., schematic design, layout design, first tape-out, second tape-out, etc.). Hence, we can reuse the simulation and/or measurement data collected at an early stage to facilitate efficient validation and tuning of AMS circuits with a minimal amount of data at the late stage. The efficacy of BMF is demonstrated by using several industrial circuit examples.

 

 

Biography

Xin Li received the Ph.D. degree in Electrical and Computer Engineering from Carnegie Mellon University, Pittsburgh, PA in 2005, and the M.S. and B.S. degrees in Electronics Engineering from Fudan University, Shanghai, China in 2001 and 1998, respectively.

He is currently an Associate Professor in the Department of Electrical and Computer Engineering, Carnegie Mellon University, Pittsburgh, PA. In 2005, he co-founded Xigmix Inc. to commercialize his PhD research, and served as the Chief Technical Officer until the company was acquired by Extreme DA in 2007. In 2011, Extreme DA was further acquired by Synopsis (Nasdaq: SNPS). From 2009 to 2012, he was appointed as the Assistant Director for FCRP Focus Research Center for Circuit & System Solutions (C2S2), a national consortium of 13 research universities (CMU, MIT, Stanford, Berkeley, UIUC, UMich, Columbia, UCLA, among others) chartered by the U.S. semiconductor industry and U.S. Department of Defense to work on next-generation integrated circuit design challenges. His research interests include integrated circuit and signal processing.

Dr. Xin Li has been an Associate Editor of IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD) since 2012 and an Associate Editor of Journal of Low Power Electronics (JOLPE) since 2011. He served on the ACM/SIGDA Outstanding PhD Dissertation Award Selection Committee in 2013, the Technical Program Committee of Design Automation Conference (DAC) from 2011 to 2013, the Technical Program Committee of International Conference on Computer-Aided Design (ICCAD) from 2008 to 2011, the Technical Program Committee of International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems (TAU) from 2010 to 2012, the Technical Program Committee of International Conference on VLSI Design (VLSI) in 2009, and the IEEE Outstanding Young Author Award Selection Committee in 2006. He received the NSF Faculty Early Career Development Award (CAREER) in 2012, the IEEE Donald O. Pederson Best Paper Award in 2013, a Best Paper Award from Design Automation Conference (DAC) in 2010, and two IEEE/ACM William J. McCalla ICCAD Best Paper Awards in 2004 and 2011.

 

Copyrights 2017 © The School of Information Science and Technology, Fudan University